Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  База цифровых устройств 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 [ 160 ] 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176

IF (аск, THEN згед v,tatestbtxiti end if; if l!ACK)THEN !114ig=wbitoat; end if;

WHEN stat. stbout >

load=gnd; shi£t=gnd; ciKnoU-CWDi clradu-5nd; teiiipp adi--vccj stroh-vtc;

endout=gnd; endrd=gl,3;

ТГ (count) THEN sreq-quit; end И;

if (icountlthen sreg=et leO0UI>ti enp IB; WHEN statacounf> ioad-gnd; shitt-vaT; c1kR3u=VCCi

cl-rroij-ca P; tenpsmdy=Vf-7; !itrcb-J D: MD j--CHl<; LSJiJ-c-IJWJt

sieg=:jtatjtbwut, WHEN ouit=> 1 ..adnd; sblft-gnd; cufj-snd;

clrcou-gnd; tempready-gnd; dtrcb-gnd; enrout-v>rCl tilnisd-i;nrj; if (ack) then si 4=0011; bnl) if; if (ifiCKthtn sreg-idle; ekd IF;

end ;ASE; end if; end;

Собственно npoipamma начинается с предложения sreg..Лк=ськ, котох>е является предложением, обеспечивающим переходы автомата от состояния к состоянию. Следующим действием, требующим тактирования, является перепись внутреннего сигнала tempready в выходной тритгер READY (устранение пиков достигается за счет использования разных фронтов тактирующего сигнала у автомата и у трипера).

В помещенном далее теле описания автомата полностью повторяются (в семантическом плане) операторные конструкции, интерпретирующие в синтаксисе языка AHDL все основные блоки раф-схемы переходов автомата, приведенной на рис. 9.8. Дпя перебора состояний автомата программа ориентируется на возможности, предоставляемые оператором - е (сг - i WHEN, ... END iijSE), a ДЛЯ организации необходимых разветвлений псполь-зует оператор if - (if, then, [elsej , end if).

Первая конструкция if обеспечивает сброс устройства в исходное состояние при наличии сигнала RESET. Основу альтернативной ситуации (отсутствие RESET) образует встроенная после ключевого слова ELSE конструкция case. Анализируя текущее состояние автомата sreg, эта конструкция для каждого возможного состояния автомата формирует после конструкции (when <имя состояния> =>] требуемую последовательность выходных сигналов и подготавливает переход к следующему состоянию автомата Входящие



VI.JL с .1р c.rpat.l hv visual SoXMiir- Я1и--ог

- This WA r/T (foi us with WoxKview Office) ллв тегятвс!

- enuinerated -.Lite assignitii:at with stiiii -tuied cede foiJ?.it .

- Minimizatior. enabled, implisd else is enabj.ed, -- and outputs are manually optimized.

LIBRARY leee;

USE it..3td :ogx.. lIM.eUi

LIBRARY Synth; USE synth.vhdlsinth.-.IDENTITY AvtOutEt

70BT iCLK,xe et.,CDiint,si,.j.-k : IN std IlwAi:! Load,shilL. Iv<vv,clrcou,rea-iv. .n, -r,JoUL, T3ndPd: Oi-r и ч .-a--. END;

ARCHITECTURE BEHAVTOR OF AvtOutBt If

TYPE type sreg IS (Idle,BegLdBt,LdBt,EndLdBt.WaitOut, tatcJlUju.

StateCount,Quit); SIGNAJj sreg, nCixLsreg: type sreg;

в состав некоторых вариантов when условные операторы (i

гг), обеспечивают выполнение различных разветвлений хода работы ашома-та. ПрОфамма завершается ключевым словом е

Второй вариант описания автомата, когорый мог бы управлять спроектированным устройством, компилировался с помошью программы StaicCAD Version 3.2 пакета Workview ОШсе с ориентацией на язык VHDL. При компиляции из графической формы в текстовую программа StateCAD учитывает, для компилятора какой фирмы предполагается использовать описание автомата. Анало[Т1ЧНые соображения должны приниматься во внимание при ручном написании пр0рамм. Это ог]1аничепие возникает из-ia того, что набор допустимь[Х синтаксических конструкций языка zuni различных фирм сушественно отличается от стандартного. Для примера выбрана ориентация на САПР Workview Office (как имеющую меньшие Офаничения). В aroii профамме многое повторяет (в плане функциона.пьного назначения) предыдущую программу, и большинство отличий связано с синтаксисом операторов языка VHDL.

- ...... ........ -1

Листинг 3



BEGIN

PROCESS (CLK, nexT: sreg) BEGIN

IF CLK-l fiND CLKevent THEN

s rGg<=nGxt srGg; END IF; END PROCESS;

PROCESS (sreg,reset, count,rd,ack) BEGIN

load<=0; shift<=0; clkcou<=0; clrcouO; ready<=0; strob-=0; endout<=0; endRd<=0; next sreg<=IdlG;

IF (Reset=4) THEN

load<=0; shift<=0; clkcou<=0; clrcou<=0; ready<=0; strob<=0; endout< 0; endRd<-0;

nexts reg<=IdlG; ELSE

CASE sreg IS WHEN Idle =>

load<=0; shift<=0; clkcou--O; cJ cou<-ready<=0; strob<=0; Gndout<=0 ; endRd<-IF (rd=0) THEN next sreg<= Idle; END IF;

IF (rd-l) THEN next s reg<=BegLdBt;

END IF; WHEN BegLdBt

load<=4; shift<=0; clkcou<=0; clrcou<=

ready<=0; strob<=0; endout<0; endRd<=

nexts reg<=LdBt; WHEN LdBt -

load<=l; shift<-l; clkcou<=0; clrcou<=

ready<=0; strob<=0; Gndout<=0; eiidRd<=

next sreg<=EndLdBt; WHEN EndLdBt =>

load<-0; shift<=0; clkcou<=0; clrcou<=

0; 0 I

0; 0;

0; 0;



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 [ 160 ] 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.