Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  База цифровых устройств 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 [ 155 ] 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176

(Institute of Electrical and Electronic Engineers) как cranjiapr VHDL-87. Он использовался, главным образом, для описания уже спроектированных систем. Использование для задач синтеза устройств (работа с компиляторами) началось с 1991 г. В 1993 г. 1ЕЕЕ принимает новый расширенный стандарт VHDL-93. Язык может быть использован для проектирования ЦУ разных иерархических уровней - от вентильного уровня предсгавлеиия схем до уровня системы в целом. В настояшее время он является, видимо, самым популярным среди проектнровпшков цифровой аппаратуры. Сравнимым по популярности является язык Vcrilog, и практически любая современная САПР средств ВТ или цифровых устройств имеет в своем составе компиляторы с этими языками (как входными, так и выходными).

Язык VHDL является проблемно-ориентированным языком, его основные прикладные аспекты связаны с использованием в качестве рабочего инсгру-мента ivm задач описвния структуры и/или поведения пифокого класса цифровых устройств. Описания могут использоваться для синтеза и/или моделирования таких систем. В соответствии с назначением, язык приспособлен для описания систем как с точки зрения их структурной организации (из модулей с известным поведением), так и с точки зретгия поведения либо системы в целом, либо всех ее составных частей. Наибольшие ограничашя на набор допустимых (относительно стандарта) операторов языка имеют компиляторы для синтеза спроектированных устройств, значительно меньше ограничений существует у систем моделирования.

Синтаксические конструкции и основные понятия языка

Синтаксические конструкции языка содержат две составляющие - общеалгоритмическую (свойствештую большинству обычных алгоритмических языков) и проблемно-ориентированную.

Обшеалгоритмическая составляющая языка достаточно Т)адицио11на и со держит как тр.гииционные операторы действия (присвоения (:=), условия (IF), выбора (CASE), цикла (LOOP), вызова процедуры), так и традиционные типы данных: числовые, логические, символьные, перечислительные и aipeiarnpoBaHHbie (массивы, записи и файлы). Не самым распространенным можно считать лишь набор ключевых слов и синтаксических правил составления предложений.

В программах на языке VHDL используются следующие термины и понятия Все проекты выражаются в терминах о(5ъектов проекта - Entity. Каждый объект проекта имеет объявление интерфейса объекта - Entity Declaration и описание архитектурного тела объекта - Architecture body. Entity содержит имя объекта и его интерфейс (входы и выходы). Architecture содержит описание структуры или поведения объекта Верхний уровень проекта описывается через объекты верхнего уровня, если устройство иерархично, то описания объ ектов верхнего уровня содержат в себе обращения к комтюнентам более низкого уровня, которые описываются как самостоятельные о(п,екты нижнего



уровня. В сбою очередь, объекты нижнего уровня могут связываться с объектами еще более низкого уровня. Для определенности функционирования системы независимо ог числа уровней иерархии все объекты нижних уровней иерархии должны иметь описание, определяющее их функционирование. Один и тот же объект может иметь несколько архитектурных тел (естественно, что при моделировании поведения системы или при ее синтезе спеннальные средства конфигурирования (Configuration Declaration) определяют единственный вариант поведения).

Описание проекта на языке VHDL

Описание проекта на языке VHDL имеет типовую структуру: в его начале указываются библиотеки функциональных элементов, которыми может пользоваться САПР (Library Declaration), далее следуют описание объектов (Entity Declaration), которые будут использованы как компоненты проектируемого устройства, и раздел архитектуры (Architecture Declaration), который может быть представлен в структурном или поведенческом вариантах.

Многие другие термины и понятия здесь и ниже не затрагиваются, поскольку цель более или менее серьезного изучения языка может ставиться лищь в работах достаточно большого объема.

Проблемно-ориентированными и поэтому наиболее важными средствами и понятиями языка VHDL являются:

□ средства описания иерархии проекта для описания структуры и/или повеления отдельных объектов проекта;

□ средства задания и описания параллелизма для выполняемых действий и операторов;

□ понятие сигнала для физических объектов, имеющих временное измерение для своих значений и средства для работы с ними.

Иерархическое построение описания системы в языке VHDL является развитием традиционного иерархического подхода и отличается тем, что распространяется не только на описание поведения, но и на описание структуры системы. Архитектурное тело (Architecture Body) - описывает поведение объекта или его структуру. Внутри архитектурного тела может быть и смесь структурного описания с поведенческим. Специальные синтаксические конструкции могут описывать интерфейс структурной компоненты объектов (component ... port), соединение компонентов между собой (port map, generic map), создание фрагмента структуры (for... generate и if... generate) или конкретизации конфигурации (for ... use). То, что описанию архитектуры пред-ществует описание интерфейса объекта (Entity) не является существенным отличием языка VHDL и аналогично (в определенном смыс;ге) описанию прототипа в языке СИ.

Наиболее важным свойством языка VHDL является понятие параллелизма выполнения действий. Параллелизм начинается с введения понятий пропес-



са (Process) и охраняемого блока (Block) и распространяется при определенных условиях на такие традиционно последовательные операторы, как вызов процедуры и оператор присвоения. Для управления параллелизмом естественно введение операторов, задающих момент запуска (абсолютных - waitотносительных - after), и операторов, задерживающих момент запуска (wait ... until, wait . for). Возможность различными способами описать поведение одной и той же системы или объекта, оставаясь в рамках одного архитектурного тела, приводит к понятию стиля описания (программирования). Можно выделить следующие типы стиля:

□ последовательный сгиль, когда преобразование потока входных данных в поток выходных данных осуществляется с использованием только последовательных операторов;

□ параллельный стиль, ко1да описание повеления задано в виде параллельно выполняемых процессов;

П потоковый стиль, когда описание задано в виде последовательности параллельных операторов языка.

К специфическому стилю можно отнести автоматный способ описания, когда функционирование задано в форме описания конечного автомата (Мура или Мили).

Третьей важнейшей особенностью языка VHDL является введение физического типа данных. Понятие сигнала (Signal) отражает основные свойства реальных входных и выходных данных проекта. Среди различных свойств сигналов важнейшими представляются временные характеристики таких данных и, прежде всего, наличие у них прошлого, настояшего и будущего состояний. Спе1шфические свойства сигналов потребовали введения понятия назначение значения сигнала (<=), основное отличие которого от понятая присвоение значения переменной (:=) состоит в задержке изменения состояния сигнала до тех пор, пока не будут подготовлены результаты преобразования во всех одновременно инициированных процессах, и лишь после этого одновременно изменяются значения всех сигналов сразу

Примеры поведенческих описаний элементов на языке VHDL

Проиллюстрируем поведенческие варианты описаний на простейших примерах. Пусть требуется описать на языке VHDL лошческий элемент, реализующий функцию Z = (а\/Ь)с под наименованием input3 orand 1. Начиная с раздела Entity Declaration, описание может иметь следующий вид:

ENTITY input3 ori.-un ТЕ - entity -ieclaration

PORT ta.b,c; IM BIT; - prrt .t4temf-nt

Z: OUT BIT); END input3 orancll;



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 [ 155 ] 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.