Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 [ 312 ] 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Другим простым примером конечного автомата является автомат, считающий число единиц . Задача формулируется так:

Построить тактируемый синхронный конечный автомат с двумя входами X и Y и одним выходом Z. Выходной сигнал должен равняться 1, если число единиц, поступивших на входы X и Y с момента запуска, кратно 4; в противном случае сигнал Z должен равняться 0.

В табл. 7.12 имеется составленная нами для этого автомата таблица состояний. Однако мы воспользуемся возможностями счета, предоставляемыми пакетом IEEE std logic arith, и напишем VHDL-профамму для такого автомата непосредственно.

Как всегда существует много способов решить эту проблему. Наше решение представлено в табл. 9.17. Мы выбраяи такой способ, который позволяет проиллюстрировать несколько различных особенностей языка. Внутри архитектуры объявлен подтип COUNTER для двухразрядных величин типа UNSIGNED. Подсчитываемое число единиц хранится в сигнале COUNT этого подтипа, а постоянная ZERO . ПГ.П-ГНПЯ нл/жня ппя инициализации и поовевкилн&ения COUNT.

Табл. 9.16. Упрощенный подход к построению рассматриваемого конечного автомата средствами VHDL

architecture saiexampa arch of smexamp is type Sreg type is (INIT, LOOKING. OK); signal Sreg: Sreg type; signal lastA: STD LOGIC; begin

process (CLOCK) - state-machine states and transitions begin

if CLOCKevent and CLOCK = Ч then lastA <- A; case Sreg is

when INIT => Sreg <= LOOKING;

when LOOKING => if A=lastA then Sreg <= OK;

else Sreg <= LOOKING;

end if;

when OK => If B=l then Sreg <= OK;

elsif A=lastA then Sreg <= OK; else Sreg <= LOOKING;

end if;

when others => Sreg <= INIT;

end case; end if; end process;

with Sreg select - output values based on state Z <= 4 when OK,

0 when others;

end s2Eexampa arch;



9.2. Примеры проектирования на языке VHDL 947 Табл. 9.17. VHDL-программа для автомата, считающего число единиц library IEEE;

use IEEE.std logic 1164.all; use IEEE.std Iogic arit3i.all;

entity Vonescnt is

port ( CLOCK, RESET, X, Y: in STD.LOGIC; Z: out STD.LOGIC );

end;

architecture Vonescnt arch of Vonescnt is subtype COUNTER is MSIGNED (1 downto 0); signal С01ЖГ: COUNTER; constant ZERO: COUNTER ;= 00 ; begin

process (CLOCK) begin

if CLOCKevent and CLOCK = 1 then

if RESET =4 then COUIIT <= ZERO;

else COUNT <- COUNT + (0, X) + (0, X);

end if; end if; end process;

2 <= 1 when COUNT = ZERO else 0;

end Vonescnt arch;

В процессе применен обычный метод обнаружения нарастающего фронта сигнала CLOCK. Предложением if выполняется синхронный сброс, а предложением else осуществляется простое добавление 0,1 или 2 к содержимому COUNT, в зависимости от значений X и Y. Напомним, что выражение вида (С, X) - это массив-литерал; он образован здесь двумя элементами типа std logic: нулем О и текущим значением X. Тип этого литерала совместим с типом UNSIGNED, поскольку число элементов у них и их тип одинаковы; поэтому их можно объединить операцией + , определенной в пакете std logic arith. Параллельный сигнальный оператор присваивания, расположенный вне процесса, вырабатывает 1 на выходе типа Мура Z, когда значение COUNT равно 0.

С точки зрения синтеза оператор i f и оператор присваивания значения сигналу COUNT не обязательно порождают компактную и быстродействующую схему. В случае простых средств синтеза это могут быть два 2-разрядных сумматора, соединенные последовательно. В табл. 9.18 показан другой подход, при котором умные средства оказываются способными синтезировать более компактную схему инкрементирования для каждого из двух сложений. В любом случае, представление в виде альтернатив оператора case позволяет двум сумматорам или схемам инкрементирования работать параллельно, а для переключения на один из выходов в соответствии с выбираемой альтернативой можно воспользоваться мультиплексором.



948 Глава 9. Примеры проектирования последовательностных схем Табл. 9.18. Другой вариант процесса для автомата, считающего число единиц

process (CLOCK)

variable ONES: STD LDGIC VECTOR (1 to 2); begin

if CLOCKevent aad CLOCK =4 then 0.4ES := (X, У);

If RESET = -1 then СОШГГ ZERO; else case ONES is

when 01 I 10 => COUNT COUNT + 01 ; when 11 => COUNT <= COUNT + 10 ;

when others => null;

end case; end if; end if; end process;

Наш последний пример в этом разделе - конечный автомат, управляющий кодовым замком, из параграфа 7.4 (ниже выход HINT, имевшийся в исходном варианте, опущен):

Построить тактируемый синхронный конечный автомат с одним входом X и одним выходом UNLK. Сигнал на выходе UNLK должен принимать значение 1 тогда и только тогда, когда X равно О и последовательность значений входного сигнала X на семи предшествующих тактах имела вид: 0110111.

В табл. 7.14 приведена составленная нами таблица состояний. Но мы снова применим другой, более наглядный подход. Примем во внимание, что в данном случае сигнал на выходе автомата в любой момент времени полностью определяется значениями его входного сигнала на последних восьми тактах. Поэтому при проектировании этого автомата можно использовать так называемый принцип конечной памяти (см. помещенное в рамку замечание в конце раздела 9.1.2). В соответствии с этим принципом мы в явном виде отслеживаем семь последних значений входного сигнала и вырабатываем выходной сигнал как комбинационную функцию этих значений.

В табл. 9.19 приведена VHDL-прОфамма, реализующая этот принцип. Архитектура содержит процесс, осуществляющий слежение за семью последними значениями X с помощью конструкции, являющейся, по существу, регистром сдвига, в котором бит на позиции с номером 7 представляет собой самое старое значение X. (Напомним, что оператор & в языке VHDL выполняет конкатенацию массивов.) Расположенный вне процесса параллельный сигнальный оператор присваивания вырабатывает 1 на выходе типа Мили UNLK, когда х равен О, а семь предыдущих битов согласуются с ожидаемой комбинацией.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 [ 312 ] 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.