Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 [ 291 ] 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

СТОИТ ЛИ ВОЛНОВАТЬСЯ?

Вы, возможно, догадываетесь, что синхронизирующие устройства, изображенные нарис. 8.91 и 8.94, могут сработать неправильно. Это может произойти из-за нарушения требований, предъявляемых временем установления и временем удержания синхронизирующих триггеров, поскольку асинхронный входной сигнал может измениться в любой момент времени. Стоит ли волноваться? , скажете вы. Если сигнал на входе D изменяется вблизи фронта тактового сигнала, то триггер либо увидит это изменение на данном такте, либо пропустит его сейчас и обнаружит на следующем такте. В любом случае это меня вполне устраивает! Проблема все же есть, и она связана с существованием третьей возможности, рассматриваемой в параграфе 8.9.

8.9. Сбой в работе синхронизирующего устройства и метастабильность

В парафафе 7.1 было показано, что в случае, когда требования в отношении времени установления и времени удержания триггера не удовлетворены, триггер может войти в третье, метастабшьное состояние посередине между О и 1. Хуже всего то, что время пребывания в этом состоянии, то есть время до того момента, когда триггер свалится в одно из его законных состояний - в состояние О или в состояние 1, - теоретически неофаниченно. Некоторые из вентилей и триггеров, на входы которых поступает метастабильный сигнал, могут интерпретировать его как О, тогда как другие вентили и триггеры будут воспринимать его как 1, в результате чего возникнет того или иного рода несовместимость типа той, какая бьша указана на рис. 8.92. Впрочем, вентили и другие триггеры с метастабильным сигналом на входе, сами могут вырабатывать метастабильные сигналы на своих выходах (ведь, в конце концов, эти схемы оказываются в линейной части их передаточной характеристики). К счастью, вероятность того, что сигнал на выходе триггера и дальше останется метастабильным, уменьшается со временем экспоненциально, хотя никогда и не становится равной нулю.

8.9.1. Сбой в работе синхронизирующего устройства

Говорят, что в работе синхронизирующего устройства произошел сбой {synchronizer failure), когда в системе используется выходной сигнал этого устройства, несмотря на то, что он остается метастабильным. Система может обезопасить себя от сбоев в синхронизирующем устройстве, если будет достаточно долго ждать, прежде чем воспользуется выходным сигналом этого устройства. Но что значит достаточно долго ? Для этого необходимо, по крайней мере, чтобы среднее время между сбоями в синхронизирующем устройстве было на несколько порядков больше, чем планируемое разработчиком время использования системой выходного сигнала этого устройства.

Метастабильность - это нечто большее, чем академическая проблема. Многим конструкторам довелось стать создателями высокоскоростных цифровых си-



74LS132

100 пФ


100пФ

Рис. 8.95. Неудачная попытка построить SR-триггер, защищенный от метастабильности

стем, которые страдали тем, что время от времени происходили сбои в их синхронизирующих устройствах (и которые, тем не менее, были доведены до серийного производства). Говорят, что связанные с метастабильностью проблемы первоначально были у целого ряда популярных ИС, в частности, у таких микросхем, как системный времязадающий контроллер AMD 9513, контроллер прерываний AMD 9519, последовательный интерфейс ввода/вывода Z-80 фирмы Zilog, однокристальный микрокомпьютер 8048 фирмы Intel и RISC-процессор AMD 29000. Вы, наверное, задаетесь вопросом: И что, этих разработчиков еще не уволили? .

Существует два способа избавиться от пребывания триггера в метастабиль-ном состоянии:

1. Принудительно переводить его в одно из его законных состояний с помощью сигналов, удовлетворяющих объявленным требованиям в отношении минимальной длительности импульса, времени установления и хд.

2. Подождать достаточно долго , пока триггер сам собой не выйдет из состояния метастабильности.

Неопытные проектировщики часто пытаются обойти метастабильность другим путем и, как правило, терпят неудачу. Одна из таких попыток представлена на рис. 8.95: коль скоро метастабильность является аналоговой проблемой, ее решение также должно быть аналоговым , - так думает разработчик. Действительно, вентили с триггерами Шмитта на входах и с конденсаторами могут быть использованы в обычных условиях для очистки сигналов от шума. Однако вместо исключения метастабильности, такая схема только усилит этот эффект: построенная из вполне достойных элементов, эта схема навсегда войдет в режим колебаний, как только одновременно будут переведены на неактивный уровень сигналы S L и R L. (Автор должен признаться, что больше 20 лет назад попытался это сделать!) В задачах 8.97 и 8.94 приведены примеры отважных, но неудачных попыток исключить метастабильность. Эти примеры позволят вам почувствовать, что проблемы, возникающие в связи с синхронизирующими устройствами, могут быть очень тонкими, так что необходимо быть бдительным. Единственный способ сделать синхронизирующее устройство надежным состоит в том, чтобы ждать достаточно долго, пока выходной сигнал не перестанет быть метастабильным. На вопрос: Как долго надо ждать, чтобы этого было достаточно? мы ответим в этом параграфе позже.



8.9.2. Время выхода из метастабильности

Если требования D-триггера по времени установления и времени удержания удовлетворены, то триггер устанавливается в новое состояние в пределах интервала времени t, после того как прошел фронт тактового сигнала. Если эти требования нарушены, то выход триггера может быть метастабильным сколь угодно долго. Проектируя некоторую систему, мы пользуемся параметром носящим название времени выхода из метастабильности {metastability resolution time), для обозначения максимального времени, в течение которого выходной сигнал может оставаться метастабильным без ущерба для работы синхронизирующего устройства (и системы).

Рассмотрим, например, конечный автомат, изображенный нарис. 8.94. В этом случае мы располагаем следующим временем выхода из метастабильности:

г elk comb setup

где - период тактового сигнала, г- задержка распространения сигнала по комбинационной логике и t - время установления триггеров, используемых в памяти состояния.

8.9.3. Разработка надежного синхронизирующего устройства

Самое надежное синхронизирующее устройство - это такое устройство, которое успевает за отведенное время выйти из метастабильности. Но при проектировании цифровой системы мы редко можем позволить себе роскошь понизить тактовую частоту ради надежности. Обычно, напротив, от нас требуют повысить тактовую частоту, чтобы система обладала лучшими характеристиками. Поэтому чаще всего нам нужно, чтобы синхронизирующее устройство работало надежно при очень малых значениях периода тактового сигнала. Мы представим несколько таких схем и покажем, как можно оценить их надежность.

Как сказано выше, у конечного автомата с асинхронным входом, структура которого показана на рис. 8.94, время выхода из метастабильности равно t=t]-ють~ setup Чтобы сдблать ВОЗМОЖНО большим при заданном периоде тактового сигнала, нам следует минимизировать t и t. Значение t определяется типом триггеров, используемых в памяти состояния; в общем случае, у более быстрого триггера время установления меньше. Минимальное значение t равно О и достигается в синхронизирующем устройстве, приведенном нарис. 8.96; сейчас мы объясним, как работает эта схема.

синхронизирующее устройство

ASYNCIN -

(асинхронный входной сигнал)

CLOCK

(системный

>CLK

МЕТА

D Q >CLK

SYNCIN

Синхронная система

тактовый сигнал)

Рис. 8.96. Рекомендуемая схема синхронизирующего устройства



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 [ 291 ] 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.