Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 [ 199 ] 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Табл. 6.33. Поведенческая VHDL-программа для счетчика числа единиц в 32-разрядном слове

library IEEE;

use IEEE.std logic l164.all; use IEEE.std logic unsigned.all;

entity VentIs is

port ( D; in STD.LOGIC.VECTOR (31 downto 0);

SOT: out STD.LOGIC.VECTOR (4 downto 0) );

end VentIs;

arcbitecture Vcntls.arch of VentIs is begin

process (D)

variable S: STD.LOGIC.VECTOR(4 downto 0); begin

S := 00000 ;

for i in 0 to 31 loop

if D(i) = 1 then S := S + 00001 ; end if; end loop; SUM <= S; end process; end Vcntls.arch;

D[24]-

0[5:3)г::>7Л£г

ADDER2 *T

D[ii:91=:i.[j£r

2 D[251-

D[28]-

ADDER2

ADDERS

D[261-

D[30] -

D[311-

ADDER2

D[271-

D[29] -

D[23:2i]r::>rl£r P[0:7t[1:01

ADDER2

ADDERS

ADDER4

/-1>

INCR5

имена сигналов

Q[0:3

12:01

R[0:1

[3:0)

:>SUM[5:0]

S[4:01

Рис. 6.15. Структура 32 -разрядного счетчика числа единиц

Устройство, изображенное на рис. 6.15, отлично создается структурной VHDL-архитектурой, приведенной в табл. 6.34. Программа начинается с объявления всех компонентов, которые будут использованы в проекте, соответствующих блокам на рисунке.



Табл. 6.34. Структурная VHDL-архитектура для 32-разрядного счетчика числа единиц

architecture Vcntlstr.arch of Vcntlstr is

component FA port ( A, Б, CI: in STD.LOGIC;

S, CO: out STD.LOGIC );

end component;

component A00ER2 port ( A, B: in STD LQGIC VECT0R(1 downto 0);

CI; in STD.LOGIC;

S: out STD L0GIC VECT0R(2 downto 0) );

end component;

cosnponent ADDERS port (A, B: in STD L0GIC VECT0R(2 downto 0);

CI: in STD.LOGIC;

S: out STD.LOGIC.VECTORO downto 0) );

end compoBeBt;

component ADDER4 port ( A, B: in STD LOGIC VECTOR(3 downto 0);

CI: in STD.LOGIC;

S: out STD .LOGIC. /ECrDR(4 downto 0) );

ead component;

component 1ЖШ port (A: in STD.LOGIC.VECTOR (4 downto 0);

CI: in STD.LOGIC;

S: out STD.LOGIC.VECTDRCB downto 0) );

end component;

type Ptype is array (0 to 7} of STD.LOGIC.VECTOR(l downto 0) type Qtype is array (0 to 3) of STD.LOGIC.VECTOR(2 downto 0) type Rtype is array (0 to 1) of STD.LOGIC.VECTOR(3 downto 0) signal P: Ptype; signal Q: Qtype; signal R: Rtype; signal S; STD L0GIC.VECT0R(4 downto 0);

begin

Ul; for i ia 0 to 7 generate

ШС: FA port map (D(3*i), 0(3*i+l), D(3*i+2), P(i)(0), P(i)(l)); end generate;

U2: for i in 0 to 3 generate

U2C: ADDER2jport map (P(2*i), P(2*i+D, D(24+i), QCi)); end generate;

U3; for i in 0 to 1 generate

U3C: ADDERS port шар (Q(2*i), Q(2.i+1), D(28*i), R(i)); end generate;

U4: ADDER4 port aap (R(0), R(l), D(30), S); 05; IKCR5 port sap (S. D(31), ЗШ; end Vcntlstr.arch;

Под каждым столбцом сигналов в схеме на рис. 6.15 указано имя, присвоенное этой совокупности сигналов в программе. Каждый из сигналов Р, Q и R - это массив, позволяющий представить все соединения в соответствующем столбце в виде одного вектора типа STD LOGIC VECTOR. Объявлению этих сигналов в программе предшествует определение соответствующих типов.



В этой программе создание однотипных сумматоров - восьми полных сумматоров FA, четырех сумматоров ADDER2 и двух сумматоров ADDERS - успешно осуществляется операторами generate, а затем происходит обращение к компонентам ADDER4 и INCR5.

Определение компонентов счетчика числа единиц в виде отдельных объектов и архитектур, начиная с полного сумматора FA и кончая устройством INCR увеличения числа на 1, можно сделать в отдельных структурных или поведенческих программах. Например, в табл. 6.35 приведена структурная программа для полного сумматора FA. Описание остальных блоков оставлено в качестве задач 6.20-6.22.

Табл. 6.35. Структурная VHDL-программа для полного сумматора library IEEE;

use IEEE.std logic 1164.all;

entity FA is

port ( A, B, CI: in STD.LOGIC;

S, CO: out STD.LOGIC );

end FA;

architecture FA.arch of FA is begin

S A xor В xor CI;

CO <= (A and B) or (A and CI) or (B and CI); end FA.arch;

6.3.7. Игра в крестики и нолики

Наш последний пример состоит в проектировании комбинационной схемы, которая выбирает очередной ход игрока в игре в крестики и нолики. Те, кто не знаком с этой игрой, могут прочитать правила, приведенные в разделе 6.2.7. Здесь мы повторим нашу стратегию игры с целью достижения победы:

1. Ищем строку, столбец или диагональ, в которых имеется две наших метки (X или О в зависимости от того, за кого мы играем) и одна пустая клетка. Если такая комбинация существует, то помещаем свою метку в пустую клетку. Мы выиграли!

2. В противном случае ищем строку, столбец или диагональ, в которых имеется две метки противника и одна пустая клетка. Если такая комбинация обнаруживается, то помещаем свою метку в пустую клетку, чтобы блокировать возможную победу противника.

3. Если не найдены две предыдущие комбинации, то выбираем клетку на основании опыта . Например, если свободна центральная клетка, то обычно хорошим ходом является ее занятие. Другими хорошими ходами считается занятие угловых клеток. При выборе хода умные ифоки могут также принять во внимание развитие конфигурации противником и заблокировать его, воспользовавшись предвидением .



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 [ 199 ] 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.