Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 [ 196 ] 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

architecture fpence arch of fpenc is begia

process(B)

begin

if B(10) =4 then M B(10 downto 7);E <= 111 ; elsif B(9) 1 then M <= B( 9 downto 6); E <= HO ; elsif B(8) 1 then M <= B( 8 downto 5); E <= 101 ; elsif B(7) Ч then M <= B( 7 downto 4); Б <= 100 ; elsif B(6) 1 then M <= B( 6 downto 3); E <= Oil ; elsif B(5) - 1 then M < B( 5 downto 2); E <== 010 ; elsif B(4) = 1 then M <= B( 4 downto 1); E <= 001 ; else M <= B( 3 downto 0); E <= ООО ;

end if; end process; end fpence arch;

С другой стороны, для увеличения функциональных возможностей нашего устройства может появиться желание воспользоваться реальными компараторами и затратить даже большее число вентилей. В частности, наш вариант устройства при нахождении битов мантиссы выполняет усечение, а не округление. Более точный результат достигается при округлении, но это приводит к гораздо более сложному устройству. Во-первых, чтобы прибавить 1 к выбранным битам мантиссы при округлении вверх, необходим сумматор. Однако добавление 1, когда мантисса уже равна ПИ, выталкивает нас в диапазон, представляемый следующим значением показателя экспоненты, так что надо быть готовым к этому случаю. Наконец, никогда нельзя выполнить округление вверх, если до округления мантисса и показатель экспоненты равны 1111 и 111, поскольку в нашем представлении числа с плавающей точкой отсутствует большее значение числа, до которого следует округлять.

Профамма, приведенная в табл. 6.25, выполняет желаемое округление. Функция round берет биты из 5 определенных разрядов числа с фиксированной точкой и возвращает в качестве результата четыре старших разряда из них с добавленной к ним 1, если младший разряд равен 1. Таким образом, если считать, что непосредственно слева от младшего разряда находится двоичная точка, то

Хотя программа, текст которой приведен в табл. 6.23, полностью синтезируема, некоторые профаммные средства синтеза могут оказаться не настолько толковыми, чтобы распознать, что во вложенных сравнениях на каждом уровне нужно проверять только один бит. Вместо этого такие профаммные средства могут для каждого уровня создать полный 11-разрядный компаратор. Такая логическая схема была бы намного больше и работала бы медленнее, чем то, что можно было бы сделать. Когда мы сталкиваемся с такой проблемой, всегда можно записать архитектуру немного иначе и в более явном виде, чтобы помочь профамме выйти из затруднения, как это сделано в табл. 6.24

Табл. 6.24. Другой вариант VHDL-архитектуры для преобразования чисел с фиксированной точкой в числа с плавающей точкой



architecture fpencr arch of fpenc is function round (BSLICE: STD LOGIC VECTOR(4 downto 0)) return STD.LOGIC.VECTOR is

variable BSU: UNSIGKEDO downto 0) ;

begin

if BSLICE(O) = 0 then return BSLICE(4 downto 1); else null;

BSU := UNSIGNED(BSLICE(4 downto 1)) + 1; return STD.LOGIC.VECTOR(BSU); end if; end; begin

process(B)

variable BU: iraSIGffED(10 downto 0); begin

BU := UNSIGNED(B);

if BO < 16 then M <= B( 3 downto 0); E <= ООО ;

elsif BO < 32-1 then M <= round(B( 4 downto 0)); E < 001 elsif BU < 64-2 then M <= round(B( 5 downto D); E <= 010 elsif BU < 128-4 then M <= round(B( 6 downto 2)); E <= Oil elsif BU < 256-8 then M <= round(B( 7 downto 3)); E <= 100 elsif BU < 512-16 then M <= round(B( 8 downto 4)); E <= 101 elsif BU < 1024-32 then M <= ro\uid(B( 9 downto 5)); E <= 110 elsif BU < 2048-64 then M <= rotind(B(10 downto 6)); E <= Ul else И <= 1111 ; E <= Ul

end if; end process; end fpencr.arch;

Еще раз: результаты синтеза, выполненного по этой поведенческой программе, не обязательно окажутся эффективными. Помимо многочисленных операторов сравнения, мы должны теперь побеспокоиться относительно большого числа 4-разрядных сумматоров, которые могут возникнуть при синтезе как следствие многократных обращений к функции округления round. Вопрос о том, как следует изменить архитектуру, чтобы по ней синтезировался только один сумматор, оставлен читателю в качестве задачи 6.15.

округление происходит в том случае, когда отбрасываемая часть мантиссы равна 1/2 или больше. В каждом предложении вложенного оператора if в процессе выполняется сравнение, чтобы при округлении вверх выбранной величины не происходило переполнения , которое переводило бы результат в диапазон чисел, представляемых следующим значением показателя экспоненты. В противном случае преобразование и округление происходят в следующем предложении. Последним предложением гарантируется, что не произойдет округления вверх, когда мы находимся на краю диапазона чисел, представимых в формате с плавающей точкой.

Табл. 6.25. Поведенческая VHDL-архитектура для преобразования числа с фиксированной точкой в число с плавающей точкой с округлением



>rw<sivin(jui>anviM кимоинационных схем

ПОЕДАНИЕ ВЕНТИЛЕЙ

Для операции округления не требуется 4-разрядный сумматор, необходима только схема увеличения числа на 1, так как одно из слагаемых - всегда 1. Некоторые VHDL-средства могут выдать в результате синтеза полный сумматор, в то время как другие могут оказаться настолько сообразительными, что синтезируют схему увеличения числа на 1, состоящую из существенно меньшего количества вентилей.

В некоторых случаях это может не быть существенным. Самые развитые программные средства проектирования устройств на основе ИС типа FPGA и специализированных ИС содержат программы поглощения вентилей. Эти программы ищут вентили с постоянными сигналами на входах и либо исключают такие вентили целиком, либо уменьшают число входов у таких вентилей. Например, можно исключить вентиль И, на одном из входов которого постоянно присутствует 1, а вентиль И с постоянно присутствующим О на одном из его входов можно заменить постоянным сигналом, равным 0.

Программа поглощения вентилей прослеживает влияние постоянных значений входных сигналов настолько далеко в схеме, нисколько это возможно. Следовательно, такая программа может преобразовать 4-разрядный сумматор с постоянной 1 на одном из входов в более экономичную 4-разрядную схему увеличения числа на 1 .

6.3.3. Двойной приоритетный шифратор

в этом примере мы воспользуемся языком VHDL для поведенческого описания реализуемого в ПЛУ приоритетного шифратора, который находит сигналы с активным уровнем с самым высоким приоритетом и со вторым по старшинству приоритетом в наборе из восьми входных сигналов запроса с высоким активным уровнем [R0. . . R7 ], среди которых сигнал R0 имеет высший приоритет Сигналы А (2 downto О) и AVALID будем использовать для представления запроса с самым высоким приоритетом, причем сигнал AVALID пусть принимает единичное значение только тогда, когда запрос с высшим приоритетом присутствует. Точно так же сигналы В (2 downto 0) и BVALID пусть представляют запрос со вторым по старшинству приоритетом.

В табл. $.26 представлена поведенческая VHDL-программа для приоритетного шифратора. Вместо вложенных операторов if , как предыдущем примере, мы применяем здесь цикл for . Этот подход позволяет нам обработать запросы как наивысшего, так и второго по старшинству приоритетов в пределах одного и того же цикла, проходя весь путь от сигнала с высшим приоритетом до сигнала с наименьшим приоритетом. Помимо пакета std logic l 164, в программе используется пакет ШЕЕ std logic arith, из которого берется функция CONV STD LOGIC VECTOR. Эта функция в явном виде бьша приведена в табл. 4.39.

Обратите внимание, что порты AVALID и BVALID объявлены в программе как выходные сигналы видаЬи£f ег, поскольку они читаются в пределах архи-



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 [ 196 ] 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.