Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 [ 187 ] 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

6.2.3. Двойной приоритетный шифратор

Этот пример демонстрирует проектирование на основе ГШУ приоритетного шифратора, который находит сигнал активного уровня с самым высоким приоритетом и сигнал со вторым по старшинству приоритетом во входном йаборе из восьми сигналов запроса с высоким активным уровнем, названных [R0. . R7 ], где сигнал R0 имеет высший приоритет Выходы [А2. . АО] и AVALID будем использовать для представления запроса с самым высоким приоритетом, причем на выходе AVALID сигнал возникает только тогда, когда существует запрос с наивысшим приоритетом. Точно так же [ В 2: В О ] и В VALID представляют запрос со вторым по старшинству приоритетом.

Профамма для приоритетного шифратора на языке ABEL представлена в табл. 6.6. Как обычно, вложенный оператор WHEN идеален для описания процедуры определения приоритетов. Чтобы найти активизированный вход со вторым по

title Dual Priority Encoder PRIORTWO device Pi6V8;

Input and output pins R7 .RO

AVALID, A2..A0, BVALID, B2..BO

Set definitions

к = [A2..A0]; В = [В2,.ВОЗ;

pin 1,.8;

pin 19..12 istjrpe com:

Табл. 6.6.

Программа на языке ABELдля двойного приоритетного шифратора

equations

ШЕН R0==1 THEN ELSE VHEN Rl==l ELSE WHEN R2> 1 ELSE WHES R3==t ELSE MSN R4== l ELSE WHEN R6==l ELSE WHEN R6= l ELSE WHE.V a7==l

A-0;

THEN A=l THEN A=2 THEN A=3 THEN A=4 THEN A=5 THEN A=6 THEN A=7

AVALID = (CR7.-RO] i= 0);

WHEN (aO==l) к (A!=0) THEN B=0;

ELSE WHEN (Rl==l) к (A!=l) THEN B l

ELSE WHEN <R2==1) к (A 1=2) THEN B=2

ELSE WHEN {R3==l) & (A!=3) THEN B=3

ELSE WHEN (R4= l) ft (Af=4) THEN B=4

ELSE WHEN (R5==l) & (A!=5) ТНЕЧ B=b

ELSE WHEN (R6 =l) & (Ai=6) THEN B=6

ELSE WHEN (R7==l) к (Af=7) THEN B=7

BVALID = (R0=-1) к (A!=0) # (Rl==l) к (Af=l)

# (R2==i) & (A! =2) # (R3= =l) к (A!=3)

# (R4=-i) & (A>=4) # (R5==i) к (A!=5)

# (R6==l) k (A!=6) # (R7==l) & (A!=7);

end priortwo



Р-Terms

Fan-in Fan

-out

Type

Same

AVALID

24/8

BVALID

24/17

20/21

18/22

106/84

Best P-

-Term

Total

Total Pins

Average P-Term/Output

Табл. 6.7. Использование термов-произведений в двойном приоритетном шифраторе, реализуемом в ПЛУ

СУММЫ ПРОИЗВЕДЕНИЙ И ПРОИЗВЕДЕНИЯ СУММ (БЫСТРО ПОВТОРИТЕ ЭТО 5 РАЗ)

Возможно, вы помните из раздела 4.3.6, что минимальное выражение вида произведение сумм для исходной функции можно получить путем преобразований по теореме Де Моргана из минимального выражения вида суммы произведений для дополнения этой функции. Возможно, вы помните также, что число термов-произведений в минимальной сумме произведений может отличаться от числа термов-сумм в минимальном произведении сумм. В столбце P-Terms в табл. 6.7 приведено число термов в обеих минимальных формах (термы-произведения /термы-суммы). Если число термов в какой-либо из двух минимальных форм меньше или равно числу термов, допускаемых матрицей вентилей И-ИЛИ в ИС 22V10, то с помощью этой микросхемы функцию реапизовать можно.

старшинству приоритетом, мы исключаем тот вход, номер которого соответствует номеру А активизированного входа с самым высоким приоритетом. Таким образом, для определения выхода В используется логика с двумя проходами. Легко написать равенство для выхода AVALID: AVALID равняется 1, если не на всех входах запроса сигнал равен 0. Чтобы найти значение BVALID, нужно логикой ИЛИ объединить все условия, при которых в операнде WHEN присваивается то или иное значение выходу в.

Даже при использовании логики с двумя проходами для получения выходных сигналов в требуется слишком много термов-произведений, чтобы разместить их в ИС 16V8; в табл. 6.7 приведены сведения о числе необходимых термов-произведений. Выходу В нужно слишком много термов, даже если иметь в виду реализацию в ИС 22V10, у которой имеется 16 термов для двух из ее выходов и от 8 до 14 термов - для других. Так что вам придется усердно потрудиться, чтобы поместить в ИС все, что нужно!



Так к&к же можно уменьшить число необходимых термов-произведений? Обратите внимание на одну важную вещь: R0 никогда не может быть входом со вторым по старшинству приоритетом, и поэтому В никогда не может равняться 0. Таким образом, случай R0==1 можно исключить из onepaTopaWHEN. Это изменение приводит к сокращению числа термов для В2-В0 до 14, 17 и 15 соответственно. Если бы только знать, как исключить один терм из выражения для В1, то наш шифратор, по-видимому, можно было бы разместить в ИС 22V10.

Хорошо, давайте попробуем сделать кое-что еще. Если R0==1, то во втором предложении в операторе WHEN излишне использовать все, что мы знаем. Нам не нужно абсолютной общности, выражаемой условием А! =1; этот случай важен только тогда, когда RO=l. Поэтому давайте заменим первые две строки исходного оператора WHEN на

WHEN (Rl==l) & (R0==1) THEN В=1;

Эта хитрая замена уменьшает минимальное число термов для В2-ВО до 12, 16 и 13 соответственно. Мы добились своего! А можно ли еще уменьшить число термов-произведений так, чтобы вписаться в ИС 16V8, сохранив те же самые функциональные возможности? Такое кажется невероятным, но мы оставим это читателю в качестве задачи 6.4!

6.2.4. Расширение компараторов

в разделе 5.9.5 было показано, что в ПЛУ легко реализовать устройство, определяющее равенство двух операндов, но сравнение по величине (принятие решения вида больше чем или меньше чем ) даже при небольшом числе разрядов плохо реализуется в ПЛУ из-за большого числа требуемых термов-произведений. Таким образом, большие компараторы лучше строить на основе компараторов, выполненных в виде отдельных микросхем средней степени интефации, или на основе готовых узлов, имеющихся в библиотеках специализированных ИС или ИС типа FPGA. Однако ПЛУ, как мы сейчас покажем, хорошо подходят для реализации комбинационной логики, используемой при создании многоразрядных компараторов путем параллельного расширения компараторов меньших размеров.

В разделе 5.9.4 мы показали, как последовательно включаемые 4-разрядные компараторы 74x85 образуют компараторы с большим числом разрядов. Хотя для построения сколь угодно больших компараторов схема с последовательным включением небольших компараторов не фебует никакой дополнительной логики, у этого варианта есть существенный недостаток, состоящий в том, что задержка растет линейно с увеличением числа каскадов.

С другой стороны, в разделе 6.1.4, бьшо показано, что для выполнения 24-разрядного сравнения можно параллельно включить 8-разрядные компараторы 74x682 и применить комбинационную логику. Эту схему можно расширить на случай сравнения операндов любого размера.

В табл. 6.8 приведена профамма на языке ABEL для ИС GAL22V10, посредством которой объединяются выходные сигналы равно (EQ) и больше чем (GT) восьми ИС 74x682 и вырабатываются сигналы для всех шести возможных соотношений (=, , >, >, <, <) ме5еду двумя сравниваемыми 64гразрядными операндами.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 [ 187 ] 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.