Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 [ 161 ] 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

74x85

ALTBIN ALTBOUT

AEQBIN AEQBOUT

AGTBIN AGTBOUT

Рис. 5.81. Традиционное условное обозначение 4-разрядного компаратора 74x85


Рис. 5.82.12-разрядный компаратор на основе ИС 74x85

Сигналы с выходов ИС 85, сравнивающей биты в младщих разрядах, подаются на входы такой же ИС, сравнивающей биты в следующих разрядах, как показано на рис. 5.82 в случае 12-разрядного компаратора. Согласно определению, данному в разделе 5.9.2, эта схема является итерационной. Каждая ИС 85 вырабатывает на своих выходах сигналы, соответствующие примерно следующим выражениям псев-долоппш:

AGTBOUT = (А > В) + (А=В) AGTBIN AEQBOUT = (А = В)-AEQBIN ALTBOUT = (А < В) + (А=В) ALTBIN

Заключенные в скобки выражения не являются обычными логическими выражениями; правильнее сказать, что они означают арифметическое соотнощение чисел, представленных сигналами на входах АЗ-АО и ВЗ-ВО. Другими словами, сигнал на выходе AGTBOUT появляется в двух случаях: когда А > В, либо когда А = В и присутствует сигнал на входе AGTBIN (если на данном шаге биты равны, то



Рис. 5.83. Традиционное условное обозначение 8-разрядного компаратора 74x682

74x682

РО Q0

Р2 Q2 РЗ Q3 Р4 Q4 Р5 Q5 Р6 Q6 Р7 Q7

PEQQ

PGTQ

В отличие от ИС 74x85, у микросхемы 74x682 нет входов для каскадного включения и выхода меньше . Однако любые требуемые условия, включая такие, как < и >, можно получить в виде функции выходных сигналов PEQQ L и PGTQ L, как показано нарис. 5.85.

для получения правильного ответа необходимо учесть результат сравнения в младших разрядах). Мы снова встретимся с выражением такого вида при проектировании компаратора на языке ABEL в разделе 5.9.5. Арифметическое сравнение можно описать, применяя обычные логические выражения, например:

{А>В) = А3-В3 +

(АЗевЗ)А2В2 +

(АЗ е ВЗ) (А2 е В2) А1 В1 +

{азевз)-(а2ев2)-{А1 ев1)-А0-В0.

Такие выражения нужно подставить в приведенные выше псевдологические равенства, чтобы получить настоящие логические равенства для сигналов на выходах компаратора.

В виде СИС выпускается несколько 8-разрядных компараторов. Самым простым из них является ИС 74x682, условное обозначение которого дано нарис. 5.83, а принципиальная схема показана на рис. 5.84. В верхней части схемы проверяется равенство двух 8-разрядных входных слов. Сигнал появляется на выходе каждой схемы ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ, если совпадают сигналы на его входах, а уровень сигнала на выходе PEQQ L становится активным, если попарно равны сигналы во всех восьми разрядах чисел, поданных на входы. В нижней части схемы входные слова сравниваются арифметически и вырабатывается сигнал на выходе PGTQ L, если P[7-0]>Q[7-0].



1>

Рис. 5.84. Принципиальная схема 8-разрядного компаратора 74x682 с цоколевкой для стандартного корпуса DIP с 20 выводами

сравнение компараторов

Отдельные 1-разрядные компараторы (вентили ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ) в ИС 682 используются иначе по сравнению с примерами раздела 5.9.4: сигналы на выходах этих вентилей имеют активный уровень при равных сигналах на входах и затем объединяются схемой И, в отличие от схем с активным уровнем выходных сигналов при различных сигналах на входах с последующим объединением по правилу ИЛИ. Работу компаратора можно рассматривать любым образом, пока мы не входим в противоречие.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 [ 161 ] 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.