Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 [ 156 ] 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Табл. 5.42. Потоковая VHDL-программа для 4-входового 8-разрядного мультиплексора

library IEEE;

use IEEE.std logic 1164.all;

entity mux4in8b is port (

S: in STD.LOGIC.VECTOR (1 downto 0); - Select inputs, 0-3 ==> A-D

A, B, C, D: in STD.LOGIC.VECTOR (1 to 8); - Data bus input Y: out STD.LOGIC.VECTOR (1 to 8) - Data bus output

end mux4in8b;

architecture mux4in8b of mux4in8b is begin

with S select Y <=

A when 00 ,

В when 01 ,

С when 10 ,

D when 11 ,

(others > U) when others; - this creates an 8-bit vector of W end mux4in8b;

Табл. 5.43. Поведенческая архитектура для 4-входового 8-разрядного мультиплексора

architecture mux4in8p of mux4in8b is begin

process(S, A, B, C, D) begin

case S is when 00 => Y <= A; when 01 => У <= В; when 10 => Y <= C; when 11 => Y <= D;

when others => Y <= (others => U); - 8-bit vector of U end case; end process; end mux4in8p;

Так же, как в языке ABEL, в VHDL-программе мультиплексора очень просто реализовать любые требуемые правила выбора входа. Например, в табл. 5.44 приведена написанная в поведенческом стиле программа для специализированного 4-входового 18-разрядного мультиплексора с правилами выбора, указанными в табл. 5.40.

Если в каждом из этих примеров сигналы на входах выбора не действительны (например, содержат значения U или X), то для того, чтобы облегчить обнаружение ошибок в процессе моделирования, сигналам на выходной шине присваиваются значения U.



Табл. 5.44. Поведенческая VHDL-программа для специализированного 4-входового 18-разрядного мультиплексора

library IEEE;

use IEEE.std logic 1164.all;

entity iiiux4in3b is port (

S: iti STD.LOGIC,VECTOR (2 downto 0); - Select inputs, 0-7 =*> ABACADAB

A, B, C, D: in STD.LOGIC.VECTOR (1 to 18); - Data bus inputs Y: out STD.LOGIC.VECTOR (1 to 18) - Data bus output

end mux4in3b;

2irchitect\ire iiiux4in3p of mux4in3b is begin

processes. A, B, C, D) variable i: INTEGER; begin case S Is

when ООО I 010 I 100 I 110 > Y <= A; when 001 I 111 => Y <= B; when Oil => Y <= C; when 101 > Y <= D;

when others => Y <= (others => U); ~ 18-bit vector of U end case; end process; end mux4in3p;

5.8. Логические элементы ИСКЛЮЧАЮ1ЦЕЕ ИЛИ и проверка на четность

5.8.1. Вентили ИСКЛЮЧАЮЩЕЕ ИЛИ и ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ

Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ (Exclusive-OR, XOR) является 2-входовой схемой, выходной сигнал которой равен 1 лишь в том случае, когда 1 присутствует трлько на одном из его входов. Существует и другой вариант определения: вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ вырабатывает на выходе 1, если сигналы на его входах различньг CшlWlmвы\oц.eвemmяИCKЛЮЧAI(ЩИЛИ-HE(B(dusiveNCЯ,XNOR)wшЭKBИ-ВАЛЕНТНОСТЬ (Equivalence) имеет прямо противоположное значение: он равен 1, если сигналы на входах вентиля одинаковы. Таблица истинности для этих функций приведена в табл. 5.45. Операцию ИСКЛЮЧАЮЩЕЕ ИЛИ иногда обозначают символом Ф, то есть

X®Y = X Y-I-XY.

Хотя ИСКЛЮЧАЮЩЕЕ ИЛИ не является одной из основных функций алгебры переключений, дискретные схемы, реализующие функцию ИСКЛЮЧАЮЩЕЕ ИЛИ, довольно распространенны на практике. В большинстве технологий, используемых



при создании переключающих схем, функцию ИСКЛЮЧАЮЩЕЕ ИЛИ непосредственно реализовать нельзя; вместо этого применяются многовентильные конструкции, подобные тем, какие показаны на рис. 5.71.

Табл. 5.45. Таблица истинности для функций ИСКЛЮЧАЮЩЕЕ ИЛИ (XOR) и ИСКЛЮЧАЮЩЕЕ ИЛ И - Н Е (XNOR)

X® Y

(х@ уу

(XOR)

(XNOR)

(а) X.

f =x®y

(b) X.

. f =хфу

Рис. 5.71. Варианты реализации 2-входовой схемы ИСКЛ ЮН !\ЮЩЕЕ ИЛИ с помощью нескольких вентилей: (а) на основе структур И-ИЛИ; (Ь) трехуровневый вариант на основе вентилей И-НЕ.

Условные обозначения схем, реализующих функции ИСКЛЮЧАЮЩЕЕ ИЛИ и ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ, даны нарис. 5.72. Для каждой схемы имеются четыре варианта условных обозначений. Все эти варианты вытекают из простого правила:

Инвертирование любых двух сигналов (обоих входных сигналов или одного входного и выходного) у схем ИСКЛЮЧАЮЩЕЕ ИЛИ и ИСКЛЮЧАЮЩЕЕ ИЛ И-НЕ не изменяет результирующей логической функции.

Используя при проектировании принцип инверсия к инверсии , мы выбираем такое условное обозначение, которое является наиболее наглядным с точки зрения выполняемой логической функции.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 [ 156 ] 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.