Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 [ 155 ] 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Однако совершенно очевидно, что таким образом всего лишь несколькими строками программы можно задать мультиплексор любых размеров.

= (!В &

!A fc

!C10

# !В &

A fc

!C11

# В &

!A fc

!C12

# В fe

A fe

!C13

# Gl):

.IY2

= (!В fc

!A &

!C20

# IB fe

A fe

!C21

# В fe

!A fc

!C22

# В fe

A fc

!C23

# G2)i

Табл. 5.38. Инвертированные выражения для сигналов на выходах 2-разрядного мультиплексора с 4 входами типа 74x153

Табл. 5.39. Программа на языке ABEL для 4-входового 8-разрядного мультиплексора

module mux4in8b

title 4-input, 8-bit wide multiplexer PLC Input and output pins

!G pin; Output enable for Y bus

SI..SO pin; Select inputs, 0-3 ==> A-D

А1..Л8, B1..B8, C1..C8, D1..D8 pin; 8-bit input buses A, B. C, D

Y1..Y8 pin istype com; 8-bit three-state output bus

Sets

SEL = [SI.. SO]; A = [A1..A8] В = [BI. .B8] С = CCl. .C8] D = [Dl. .08] Y = [Yl. .Y8] ;

equations Y.OE = G;

WHEN (SEL == 0) THEN Y = A; ELSE WHEN (SEL == 1) THEN Y = В ELSE WHEN (SEL == 2) THEN Y = С ELSE WHEN (SEL == 3) THEN Y = D end mux4in8b

Аналогично, используя язык ABEL, легко построить спещ1ализированный мультиплексор согласно техническим требованиям заказчика. Предположим, например, что вам необходима схема, выбирающая с помощью трех управляющих битов одну из четырех 18-разрядных входных шин А, В, С и D для передачи с нее данных на 18-разрядную выходную шину F согласно табл. 5.40. Число комбинаций управляющих битов больше, чем число входов мультиплексора, так что стандарт-



Табл. 5.40. Правила выбора входа специализированного 4-входового 18-разрядного мультиплексора

вход

Рис. 5.70. Схема PALI 6L8 в качестве специализированного 4-входового 3-разрядного мультиплексора


Так как при реализации этой функции используются все имеющиеся выводы ИС PAL 16L8, при назначении выводов надо быть очень внимательным. В частности, под выходы надо отвести два вывода, предназначенные только для того, чтобы быть выходами (01 и 08), с тем чтобы число доступных входных выводов было возможно большим.

ный 4-входовой мультиплексор не полностью удовлетворяет заданным условиям (см. задачу 5.61). 4-входовой 3-разрядный мультиплексор с требуемым режимом работы можно разработать так, чтобы он вписался в одну микросхему PAL16L8 или GAL] 6V8, как показано нарис. 5.70 и в табл. 5.41, а для того чтобы построить 18-разрядный мультиплексор, можно воспользоваться шестью экземплярами таких 3-разрядных устройств. В качестве альтернативы можно применить одно ПЛУ больших размеров. В любом случае программа на языке ABEL очень легко модифицируется применительно к различным критериям выбора.



САМЫЙ ПРОСТОЙ, НО НЕ САМЫЙ ДЕШЕВЫЙ

Как вы видите, очень просто запрограммировать ПЛУ на выполнение функций мультиплексора и дешифратора. Но если вам нужен обычный дешифратор или мультиплексор, то дешевле, как правило, применить стандартную СИС, чем программировать ПЛУ. Подход, основанный на применении ПЛУ, лучше, когда мультиплексор должен выполнять некоторые нестандартные функции, а также в том случае, если вы опасаетесь, что вам придется изменять его функцию в процессе отладки.

Табл. 5.41. Программа на языке ABEL для специализированного 4-входового 3-разрядного мультиплексора

module mux4in3b

title Specialized 4-iiiput, 3-bit Multiplexer mux4iii3b device P16I.8;

Input and output pins

S2..S0 pin 16..18; Select inputs

A0..A2, B0..B2, C0..C2, D0..D2 pm 1..9, 11, 13, 14; Bus inputs

F0..F2 pin 19, 15, 12 istype com; Bus outputs

Sets

SEL = [S2..S0]; A = [A0..A2]; В = [B0..B2]; С = [CO. .C2] ; D = [DO..02]; F = CFO. .F2] ;

equations

WHEN (SEL== 0) # (SEL== 2) # (SEL== 4) # (SEL== 6) THEN F = A; ELSE WHEN (SEL== 1) # (SEL== 7) THEN F = B; ELSE WHEN (SEL=- 3) THEN F = C; ELSE WHEN (SEL== 5) THEN F = D;

end mux4in3b

5.7.5. Описание мультиплексоров на языке VHDL

Описывать мультиплексоры на языке VHDL очень просто. В архитектуре, написанной в потоковом стиле, оператор SELECT обеспечивает требуемые функциональные возможности, что можно видеть в табл. 5.42, где дано описание 4-входового 8-разрядного мультиплексора на языке VHDL.

В поведенческой архитектуре выбор осуществляется оператором CASE. В табл. 5.43, например, приведена архитектура для того же самого модуля mux4in8b, основанная на использовании процесса.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 [ 155 ] 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.