Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 [ 151 ] 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

В табл. 5.33 в качестве примера описана система, в которой четьфе 8-разрядных драйвера с тремя состояниями (в четьфех процессах) используются для выбора одной из четьфех 8-разрядных шин А, В, с и D для выдачи результата на шину X. Напомним, что конструкция (others => Z) означает вектор, все элементы которого имеют значение Z, а длина определяется требованием согласования с левой частью оператора присваивания.

Табл. 5.33. VHDL-программа с четырьмя 8-разрядными драйверами с тремя состояниями

library IEEE;

use IEEE.std.logic 1164.all;

estity vastatez is port (

G L: in STD.LOGIC; - Global output enable

SEL: in STD LOGIC VECTOR (1 doanto 0); - Input select 0,1,2,3 ==> A.B.C.D

A, B, C, D: in STD.LOGIC.VECTOR (1 to 8); - Input buses

X: out STD UL0GIC VECT0R (1 to 8) - Output bus (three-state)

end V3statex;

architecture VSstates of VSstatex is begin

process (G L, SEL, A) begin

if G L-0 and SEL = 00 then X <= To.StdULogicVector(A); else X <= (others => Z); end if; end process;

process (G L, SEL, B) begin

if G L 0 and SEL = 01 then X <- To.StdULogicVector(B); else X <= (others => Z); end if; end process;

process (G L, SEL, C) begin

if G.L=0 and SEL = 10 then X <= To.StdULogicVector(C); else X <= (others > Z); end if; end process;

process (G L, SEL. D) begin

if G L=0 and SEL = 11 then X <= To.StdULogicVector(D); else X <= (others => Z); end if; end process;

end VSstates;

Язык VHDL достаточно гибок и им можно воспользоваться для определения других типов операций на шине. Можно бьшо бы, например, определить подтип и функцию разрешения для выходов с открытым стоком, чтобы реализовать функцию монтажное И . Однако эту возможность используют редко, поскольку



определение необходимых типов выходов для ПЛУ, схем FPGA и специализированных ИС, как правило, уже бывает сделано за вас в библиотеках, поставляемых производителями этих компонентов.

5.7. Мультиплексоры

Мультиплексором {multiplexer) называется цифровой переключатель, который осуществляет передачу на выход данных, поступающих от одного из п источников. На рис. 5.62(a) изображены входы и выходы и-входового 6-разрядного мультиплексора. Имеются п источников 6-разрядных данных и 6-разрядный выход. У типичных, выпускаемых серийно мультиплексоров я = 1,2,4,8 или 16, а 6 = 1,2 или 4. Имеются s входов, с помощью которых выбирается один из п источников, поэтому 5 = flogj и1. По сигналу на входе разрешения EN мультиплексор выполняет свою работу ; когда EN = О, сигналы на всех выходах равны 0. По-английски, для краткости, мультиплексор часто называют тих.

На рис. 5.62(b) приведена схема переключения, являющаяся грубым эквивалентом мультиплексора. Но, в отличие от механического переключателя, мультиплексор является однонаправленным устройством: информационные потоки направлены только от входов (расположенных слева) к выходам (расположенным справа).

Для сигналов на выходе мультиплексора можно записать обычное логическое вьфажение:

iY=XEN-M- iDj.

Символ суммирования означает здесь логическую сумму термов-произведений. Переменная iY- это /-й выходной бит (1 < / < 6), а переменная iDj - /-й входной бит оту-го источника (О <у < и -1). М, представляет собой минтерм у, который содержит 5 входных сигналов выбора. Таким образом, когда на вход мультиплексора подан сигнал разрешения, а число на входах выбора равно j, сигнал на каждом выходе iY принимает значение iDj соответствующего бита выбранного входа.

Очевидно, что мультиплексоры являются полезными устройствами в любом приложении, где данные от многих источников должны быть переданы адресату. Распространенным является применение мультиплексора в компьютерах между регистрами процессора и его арифметическо-логическим устройством (АЛУ). Рассмотрим, например, 16-разрядный процессор, у юторого каждая команда имеет 3-разрядное поле, определяющее один из восьми используемых регистров. Сигналы с этого 3-разрядного поля поступают на входы выбора 8-входового 16-разрядного мультиплексора. Входы данных мультиплексора связаны с восьмью регистрами, а данные с его выходов поступают в АЛУ для выполнения команды, использующей выбранный регистр.



разрешение выбор

п источников данных

мультиплексор

Dn-1

(b) 1D0-1D1

1Dn-1 -

ВЫХОД

данных

2D0-2D1 -

2D/7-1 -ЮО-

Й0п-1 -

Рис. 5.62. Структура мультиплексора: (а) входы и выходы, (Ь) функциональный эквивалент

5.7.1. Стандартные мультиплексоры в интегральном исполнении

Возможности мультиплексоров, серийно выпускаемых в виде ИС средней степени интеграции, ограничены числом выводов у дешевых корпусов ИС. Часто используются мультиплексоры, размещенные в корпусах с 16 выводами. На одном краю ряда мультиплексоров стоит показанная на рис. 5.63 ИС 74x151, в которой осуществляется выбор сигнала на одном из восьми 1-разрядных входов. Входы выбора обозначены буквами С, В и А, где С является старшим разрядом в числовом представлении. Сигнал на входе разрешения ENL имеет низкий активный уровень; у схемы есть выходы как с высоким активным уровнем сигнала (Y), так и с низким активным уровнем сигнала (Y L).

Таблица истинности мультиплексора 74x151 приведена в табл. 5.34. Здесь мы снова расширили нашу систему обозначений для таблиц истинности. До сих пор в наших таблицах истинности каждой входной комбинации соответствовал сигнал на выходе, равный О или 1. В таблице для мультиплексора 74x151 в графе Входы часть входов отсутствует. Сигнал на каждом выходе задан как О, 1 или простая логическая функция остальных входов (например, DO или DO). При такой системе обозначений размеры таблицы сокращаются на восемь столбцов и восемь строк, алогическая функция представляется более наглядно, чем в случае, если бы таблица бьша полной.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 [ 151 ] 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.