Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 [ 111 ] 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

with expression select

signal-name <- signal-value vhen choicer, signaf-value when choices.

Табл. 4.52. Синтаксис избирательного сигнального оператора присваивания в языке VHDL

signal-value vhmi choices;

В архитектуре устройства для обнаружения простыхчисел, приведенной в табл. 4.53, использован избиретельный сигнальный оператор присваивания. Все альтернативы, для которых F равно 1 могли бы быть записаны в одном предложении when; в нашем примере они разнесены по нескольким предложениям только с учебной целью. Здесь избирательный сигнальный оператор присваивания- как бы считывает запись множества включений функции F.

Табл. 4,53. Архитектура устройства для обнаружения простых чисел, е которой используется избирательное присваивание сигналу его зна-чения

architecture prime4 arch of prime is begin

with N select

F <= 1 when 0001 , when 0010 , when 0011 when 1011 when others;

1 1 1 0

0101 1101 ,

0111 ,

end prime4 arch;

Ту же самую архитектуру межно слегка видоизменить, чтобы воспользоваться более удобной числовой интерпретацией N в определении функции. Применяя приведенное ранее преобразование CONV INTEGER, можно записать альтернативу в терминах целых чисел, которые, как это можно видеть из табл. 4.54, являются простыми, что и требовалось. О ташм варианте представления структуры можно говорить как о поведенческом описании, поскольку желаемая функция отображена в нем таким образом, что поведение устройства оказывается совершенно очевидным.

ПОЛНЫЙ ПЕРЕБОР

При условном и избирательном присваивании сигналу его значения требуется перечисление всех возможных условий. В условном сигнальном присваивании заключительной фразой else expression покрываются опущенные условия. При избирательном сигнальном присваивании все остающиеся условия можно подобрать ключевым словом others в последнем предложении when.

Глядя на табл. 4.53, можно подумать, что вместо слова others мы могли бы записать девять остающихся 4-битовых комбинаций О О О О , 010 О и тд. Но это не так! Не забывайте, что STD LOGIC -это девятизначная система, так что у 4-разрядной величины типа S Т D LOGIC VECTOR в действительности имеется 9* возможных значений. Поэтому others в данном примере на самом деле покрывает 6554 случая!



ства для обнаружения простых чисел, носящее поведенческий характер end primeS.arch;

4.7.8. Элементы поведенческого проектирования

Как видно из последнего примера, иногда параллельным оператором можно непосредственно описать требуемое поведение логической схемы. И это очень хорошо, потому что возможность поведенческого описания {behavioral description) и выполнение поведенческого проекта {behavioral design) является главным достоинством языков описания схем вообще и языка VHDL, в частности. Однако для большинства поведенческих описаний нужны некоторые дополнительные элементы языка, рассматриваемые в этом разделе.

1Слючевым поведенческим элементом языка VHDL является процесс . Процесс {process) - это совокупность последовательных операторов (они будут описаны чуть ниже), которые выполняются одновременно с другими параллельными операторами и с другими процессами. С помощью процесса можно задать сложное взаимодействие сигналов и событий таким способом, что при моделировании это взаимодействие реализуется практически за нулевое время в модели, а результатом синтеза становится комбинационная или последовательностная схема, которая выполняет моделируемую операцию непосредственно.

Оператор процесса {process statement) в языке VHDL можно использовать повсюду, где возможно употребление параллельного оператора. Оператор процесса вводится ключевым словом process; синтаксис этого оператора приведен в табл. 4.55. OnepaTopprocess пишется внутри некоторой обьемлющей архитектуры, поэтому ему доступны все типы, сигналы, константы, функции и процедуры, обьявленные в этой архитектуре, а также так или иначе видимые из этой архитектуры. Но можно также определять и локальные типы, переменные, константы, функции и процедуры внутри данного процесса.

Табл. 4.55. Син-

process {signal-name, signal-name, .... signal-name) таксис оператора j ,

type declarations process в языке . ., . i

У1Р1 variable declarations

constant declarations

function definitions procedure definitions begin

sequential-statement

sequential-statement end process;

Табл. 4.54. -Г --.

Описание устрой- architecture prime5 arch of prime is begin

with CONV I!irrEGER(N) select

F <= 1 when 1 ! 2 I 3 ! 5 I 7 ! 11 I 13, 0 when others;



Обратите внимание на то, что внутри процесса можно объявлять только переменные , но не сигналы. Переменная (variable) в языке VHDL отслеживает состояние процесса только внутри него и вне процесса ее не видно. В зависимости от того, как используется переменная, ей в конце концов будет или не будет соответствовать определенный сигнал при физической реализации создаваемой схемы. Синтаксис определения переменной внутри процесса подобен синтаксису объявления сигнала в архитектуре, за исключением того, что используется ключевое слово variable:

variable variable-names : variable-type;

VHDL-процесс всегда либо выполняется (running process), либо приостановлен (suspended process). Перечнем сигналов в определении процесса, который называется спискам чувствительности (sensitivity list), задаются условия, когда процесс выполняется. Первоначально процесс остановлен; когда изменяется значение любого из сигналов в его списке чувствительности, исполнение процесса возобновляется, начиная с его первого последовательного оператора, и оно продолжается, пока не будет достигнут конец. Если какой-либо сигнал из списка чувствительности изменяет свое значение в результате исполнения процесса, то процесс выполняется снова. Это продолжается до тех пор, пока запуск процесса не перестанет приводить к изменению значения любого из этих сигналов. При моделировании все это происходит за нулевое время в модели.

Если процесс записан надлежащим образом, то, будучи запущен, он исполняется один или несколько раз и останавливается. Однако существует возможность записать процесс неправильно, который никогда не остановится. Рассмотрим, например, процесс всего с одним последовательным оператором X <= not X и списком чувствительности (Х) . Поскольку на каждом проходе значение X изменяется, процесс будет запущен навсегда, хотя и будет занимать нулевое время в модели. Едва ли это полезно! На практике в моделирующих программах имеются средства защиты, которые обычно обнаруживают подобное нежелательное поведение и прерывают исполнение такого процесса после, скажем, тысячи проходов.

Список чувствительности является необязательным; при моделировании исполнение процесса, у которого нет списка чувствительности, начинается в нулевой момент времени. Одно из применений такого процесса - это генерирование входных колебаний при тестировании (см. табл. 4.65 ниже).

В языке VHDL имеются последовательные операторы нескольких видов. Первый из них - это последовательный сигнальный оператор присваивания (sequential signal-assignment statement); у него тот же самый синтаксис, что и у параллельного аналога (signal-name <= expression;), но последовательный оператор располагается в теле процесса, а не в теле архитектуры. Аналогичный оператор для переменных - это оператор присваивания значения переменной (variable-assignment statement), синтаксис которого имеет вид: variable-name: = expression; . Заметьте, что в случае переменных используется другой оператор присваивания, а именно : =.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 [ 111 ] 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.