Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 [ 110 ] 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Табл. 4.47. VHDL-объект и его архитектура для шинного инвертора с произвольной разрядностью

library IEEE;

use IEEE.stcl logic 1164.all;

entity businv is

generic (WIDTH: positive);

port ( X: in STD LOGIC VECTOR (WIDTH-1 downto 0);

Y: out STD LOGIC VECrrOR (WIDTH-1 downto 0) );

end businv;

architecture businv.arch of businv is

component IfV port (I: in STD L0GIC; 0: out STD.LOGIC); end component; begin

gl: for b in WID-1 downto 0 generate Ul; INV port map (X(b), Y(b)); end generate; end businv.arch;

Табл. 4.48. VHDL-объект и его архитектура, в которой используется шинный инвертор с произвольной разрядностью

library IEEE;

use IEEE.std logic l164.all;

entity businv example is

port ( IN8: in STD LOGIC VECTOR (7 downto 0);

OUTS: out STD LOGIC VECTOR (7 downto 0);

IN16: in STD LOGIC VECTOR (15 downto 0);

0UT16: out STD LOGIC VECTOR (15 downto 0);

IN32: in STD LOGIC VECTOR (31 downto 0);

0UT32: out STD LOGIC VECTOR (31 downto 0) ); end businv.example;

architecture businv ex arch of businv.example is component businv

generic (WIDTH: positive);

port ( X: in STD LOGIC VECTOR (WIDTH-1 downto 0);

Y: out STD LOGIC VECTOR (WIDTH-1 downto 0) ); end component; begin

Ul: businv generic map (WIDTH=>8) port map (INS, 0UT8); U2: businv generic map (WIDTH=>16) port map (IN16, 0UT16); U3: businv generic map (WIDTH=>32) port map (IN32, 0UT32); end businv ex arch;



Л.7.7. Элементы потокового проектирования

Если бы операторы component были единственными параллельными операторами языка VHDL, то он лишь немногим отличался бы от простого иерархического языка описания соединений со строгим соблюдением типов. Несколько дополнительных параллельных операторов языка VHDL позволяют описывать схему в терминах потока данных и выполняемых схемой операций над этими данными. Такой подход носит название потокового описания {dataflow description) или потокового проектирования {dataflow design).

В потоковых проектах используются два дополнительных параллельных оператора; они приведены в табл. 4.49. Чаще всего используется первый из них; он называется параллельным сигнальным оператором присваивания {concurrent signal-assignment statement). Его можно прочесть так: Сигнал с именем signal-name принимает значение выражения expressions. Поскольку в языке VHDL необходимо строго соблюдать типы, тип выражения expression должен быть совместим с типом сигнала signal-name. В общем случае это означает, что типы должны быть либо тождественно одинаковыми, либо тип expression должен являться подтипом типа signal-name. В случае массивов, тип элементов и длина должны быть согласованными, однако множество значений и направление изменения индекса могут не совпадать.

Табл. 4.49. Синтаксис параллельных сигнальных операторов присваивания в языке VHDL

signal-name <= expression;

signal-name <= expression when boolean-expression else expression when boolean-expression else

expression when boolean-expression else expression;

В табл. 4.50 представлена архитектура объекта для устройства, обнаруживающего простые числа (см. табл. 4.43), записанная в потоковой форме. При таком подходе вентили и соединения между ними в явном виде не указываются; вместо этого используются встроенные операторы языка VHDL and, or и not. (На самом деле для сигналов типа STD LOGIC таких встроенных опереторов нет, но они определяются и перегружаются пакетом IEEE 1164.) Заметьте, что у оператора not самый высокий приоритет, так что для получения нужного результата не требуется заключать в скобки подвыражение типа not N {3) .

Можно также воспользоваться второй, условной формой параллельного сигнального оператора присваивания {conditional signal-assignment statement) с ключевыми словами wiien и else, как показано в табл. 4.49. В этом случае в выражении boolean-expression отдельные булевы термы объединяются посредством встроенных булевых операторов языка VHDL, таких как and, or и not.



Под булевыми термами обычно понимаются булевы переменные или результаты сравнения, выполняемого с помощью операторов отношений {relational operators) =, /= (не равно), >, >=, < и <=.

Табл. 4.50. Потоковая VHDL-архитектура для устройства, обнаруживающего простые числа

architecture prime2 arch of prime is

signal N3L N0. N3L N2L N1, N2L N1 N0. N2 N1L N0: STD.LOGIC; begin

N3L N0 <= not N(3) and N(O)

N3L N2L N1 <= not N(3) aad not N(2) and N(l) N2L N1 N0 <= not N(2) and N(l) and N(O)

N2 N1L N0 <= N(2) and not N(l) and N(O)

F <= N3L N0 or N3L N2L N1 or N2L Ni N0 or N2 NiL N0; end prime2 arch;

Табл. 4.51 содержит пример использования условных параллельных операторов присваивания. Каждый бит переменной типа STD LOGIC, например, N(3), сравнивается со знаковыми литералами Ч иО и результат возвращается в виде значения типа boolean. Результаты сравнения объединяются в лево выражение, помещенное в каждом операторе между ключевыми словами when и else. В общем случае требуются предложения else; совокупный набор условий в каждом из операторов должен покрывать все возможные комбинащ1И входных сигналов.

Табл. 4.51. Архитектура устройства для обнаружения простыхчисел, в которой использованы условные присваивания

architecture prime3 arch of prime is

signal N3L N0, N3L N2L N1, N2L N1 N0, N2 N1L N0: STD.LOGIC; begin

N3L N0 <= 1 when N(3) = 0 andN(0) = 4 else 0; N3L N2L N1 <= Ч when N(3)=0 and N(2)=0 and N(l)=l else 0 N2L N1 N0 <- 1 when M(2)=0 and M(l)=l aad N<0)=1 else 0 N2 N1L N0 <= 1 whenN(2) = l and M(1) = 0 andN(0) = 4 else 0 F <= N3L N0 or N3L N2L N1 or N2L N1 N0 or N2 N1L N0; end prime3 arch;

Параллельный оператор присваивания другого рода - это избирательное присваивание сигналу его значения {selected signal-assignment statement), синтаксис которого указан в табл. 4.52. Этот оператор вычисляет заданное выражение expression и присваивает сигналу с именем signal-name значение сшнала signal-value, соответствующее той из альтернатив choices, значение которой равно expression. Альтернативой в каждом предложении when может быть одиночное возможное значение expression или список значений, разделенных вертикальной чертой (!). Альтернативы choices в данном операторе должны быть взаимно исключающими и в совокупности включать все возможные случаи. В последнем предложении when можно воспользоваться ключевым словом others в качестве указания на все значения expression, которые еще не были упомянуты.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 [ 110 ] 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.